三星台積電15大著數2023!(持續更新)

Posted by Jason on May 12, 2020

三星台積電

如此緊張的供需關係促成了絕對的賣方市場,下游廠商必須拿出合適的籌碼才能順利拿貨,全球半導體代工巨頭們因此持續火熱,賺得盆滿缽滿。 他也說,營業秘密保護創新已是趨勢,台灣企業優異的製造能力,更適合用營業秘密保護產品及製程,但營業秘密的訴訟複雜,台灣以中小企業居多,在營業秘密管理或訴訟資源與經驗相對有限,更需要政府輔導投入資源以協助台灣企業進行智財永續管理。 三星台積電2023 講到台積電的競爭優勢,很多人都會立即想到製程技術,例如5奈米、3奈米何時量產並達到規模,還有可以超前對手三星、英特爾多久時間等。 這些當然都是台積電非常關鍵的競爭力,但這些大家都談很多了,今天我要談的是另一件事。 但是,若從產業面來講,生產製造部分台灣為全世界第二名,占比達17.3%,更重要的是,全世界最尖端的技術產品都在台灣製造,這樣美國人就很緊張。 這也是為何桃園機場的重要性越來越高,而去年已經躋升全世界前5名貨運機場。

預估未來零組件的價格會全面上升,線寬製程持續微縮,機台價格也會上漲,但新冠肺炎疫情逐漸趨緩,將可期待業者增加產線人力與產量。 與EUV設備相關的供應鏈業者,例如:科磊(KLA-Tencor)、日本的雷泰光電(Lasertec)等,未來發展性看好。 東京威力科創(TEL,Tokyo Electron)雖然未列在上頁的供應鏈整理表上,但東京威力科創的設備在構成EUV製程也接近於市場獨占,預估也可受惠。 美國將對台積電與三星電子提供獎勵措施,鼓勵2家業者在美國設廠,並且導入先進製程,但從國家安全的角度來看,設法提高英特爾的製造能量還是比較迫切。 英特爾目前是美國晶片製造的領先業者,英特爾除了獲得政府的建廠補助,也將獲得美國國防部的強力支持。

三星台積電: 三星縮小與台積電差距甩遠英特爾

(中央社記者張建中、潘姿羽台北11日電)半導體產業在這短短3年,從順風變為逆風,全球晶圓龍頭台積電更成為舉世焦點,面對強敵三星、英特爾來勢洶洶,台積電研發6騎士之一、前研發副總經理林本堅說,「從歷史來看,台積電每次迎戰都成功」,相信未來也會持續領先。 知名晶片調查研究公司IC Insights曾做過一個有趣的估算,如果想追趕上全球最大的晶圓代工廠台積電,起碼需要五年時間外加1570億美金。 業界人士指出,2020 年台灣國際半導體展(SEMICON TAIWAN)將在本周三(23 日)登場,台積電董事長劉德音受邀進行主題演講,市場聚焦台積電先進製程研發對人工智慧(AI)、第五代行動通訊(5G)推動影響,並關注劉德音釋出的台積電先進製程研發近況。 但IC Insights認為,即使在政府全力支持下,其他競爭對手要在短期內趕上台積電和三星幾乎是不可能的。

三星台積電

蘋果6月22日宣佈個人電腦Mac將搭載自主開發的CPU,自2006年採用英特爾CPU以來首次更換。 為了在研發和製造均需要鉅額資金的半導體行業裏贏得勝利,張忠謀追求的是盈利性。 台積電曾在提出的財務指標中強調,2018年的投入資本回報率(ROIC)必須達到15~20%。 投入資本回報率是顯示投向業務活動的資金能在多大程度上産生回報的指標,台積電過去5年的平均值為21.4%,高於英特爾(15.7%)。 不僅如此,由於每家晶圓代工廠製程及生產參數皆有所差異,輝達若要移轉訂單,勢必要重新開立光罩,不僅費用大增,且須投注人力協同晶圓代工廠調整生產模式,除非三星願意埋單光罩費用以降低輝達轉移成本。

三星台積電: 「不做這件事,別想挑戰台積電!」 三星前有路障、後有英特爾追兵 2030年挑戰台積老大地位真有影?

逢甲大學航太與系統工程學系碩士生劉冠傑表示,國內外業師在活動中分享許多業界的做法,如:經常使用的衛星規格、通訊協定等,使自己更瞭解業界目前的發展方向,日後便能根據這些方向進行相關研究或加深專業能力,提早為未來就職生涯做好準備。 韓國媒體 17 日引述產業消息人士報導,台積電計畫 2022 年資本支出達 440 億美元(約新台幣 1 兆 2118 億元),以鞏固晶圓代工龍頭地位後,死對頭三星電子寄望 2030 年前稱霸晶圓代工的夢想,面臨了重大難關。 根據BusinessKorea報導,HI投資證券半導體分析師Park Sang-wook發表研究報告指出,三星最近成功提升4奈米良率至75%以上,3奈米製程良率也改善到60%,使高通、輝達等大廠外包產能給三星的機率增加。 台積電財務長黃仁昭出席業績發表會時提及,采鈺和台積電淵源很深,從代工製造到光學製程提供一站式服務,提供業界差異;多年前,就在討論采鈺是否上市,近期覺得時機成熟,但以台積電目前73%持股而言,並沒有進一步釋出持股計劃。

在美中貿易戰持續激烈的背景下下,美國能否成功在半導體界拉攏盟友,中國如何抵禦美國牽制,都是未來焦點。 根據路透社,在今年5月拜登及尹錫悅總統訪問三星廠房後,三星集團隨即在5月底宣佈,該公司未來五年資本支出將增高至450兆韓元(約3,600億美元)領域,是該集團成立數十年以來最大的投資金額。 劉佩真亦同意,雖然三星率先宣佈3奈米GAA制程,意圖超越台積電,「但(3奈米晶片)良率情況仍不明,且也尚未取得大量客戶訂單,加上GAA制程良率要提升仍有相當的難度,故短期內仍看好台積電先進制程競爭力」。 「兩家公司彼此成就,在十年後的今天都成為了各自賽道的領頭羊。沒有蘋果這麼強勢的客戶的幫助,台積電難以在代工界領先。而如今,無論是蘋果的A系列、M系列、還是基帶芯片,乃至未來的汽車芯片,都將離不開台積電的代工支持。這兩家公司已經密不可分」,他強調。 中國半導體分析師杜芹在微信公眾號「半導體行業觀察」解釋,從財報上看,蘋果是台積電的最大客戶,兩者在半導體的合作緊密。

三星台積電: 狠甩三星!台積電大突破 2 奈米超進度

去年四月二十四日,南韓三星電子公布「半導體願景二○三○」發展藍圖,不但雄心勃勃,而且還衝著台積電而來,準備在十年內投資一三三兆韓元(一一六○億美元),錄用一萬五千名專業人才,要在二○三○年之前大幅提升自家在邏輯晶片與晶圓代工市場的競爭力。 相較三星,台積電身為純晶圓代工廠,多年來始終強調「不與客戶競爭」,成為其最大優勢之一,對客戶來說,台積電沒有競爭利害關係;反觀三星並非純晶圓代工廠,本身也生產手機等終端產品,客戶向其投片容易存有疑慮,信任成為客戶是否願意交付訂單的影響因素之一。 報導指出,受美國制裁華為影響,去年台積電被迫停止替華為生產晶片,但憑藉超越業界的技術加持,獲得來自蘋果(Apple)和小米的新訂單,累計 2020 三星台積電2023 年營收達 478 億美元,創該公司史上新高紀錄。 三星電子有意推廣所謂一站式的服務,除供應HBM外,也提供封裝的代工服務。

工研院產科國際所預估,台灣半導體今年總產值將達新台幣4兆8858億元。 超微執行長蘇姿丰(Lisa Su)以精明幹練聞名,她不惜支付解約金,和以往委託製造的晶圓代工廠美國格羅方德(GF)解除合約,於2018年改委託台積電代工。 格羅方德原本是超微的製造部門,超微藉由拆分製造部門而成為無廠半導體公司。 兩家公司照理說淵源深遠,但台灣出身的蘇姿丰執行長深知格羅方德技術開發遠遠趕不上台積電,因此選擇和台積電攜手合作。 結果成功開發足以和英特爾抗衡的CPU,從此英特爾的市占率逐漸下滑。

三星台積電: 張忠謀:季辛格剩5年 英特爾難回盛況

張忠謀2017年預測與三星的競爭或將演變為戰爭,圍繞代工王座的巔峰對決已拉開序幕。 6月22日,日本超級計算機「富岳」在計算速度方面成為世界第一。 核心的富士通CPU由台積電製造,採用了縮小晶片間隔、提高性能的台積電自主的「CoWoS」技術。 台積電2013年以後每年向設備和研發投入超過1萬億日元,其中大部分是自身賺到的資金。

  • 三星進軍半導體行業已經有數十年的歷史,作為全球最大的動態隨機存取存儲器(DRAM)和NAND閃存製造商也已經很長時間。
  • 投入資本回報率是顯示投向業務活動的資金能在多大程度上産生回報的指標,台積電過去5年的平均值為21.4%,高於英特爾(15.7%)。
  • 謝福源有如傳教士般,想要讓營業祕密註冊的管理經驗給更多人知道,讓台灣其他公司與產業都可以跟著受惠。
  • 在1990年代中期,當時輝達還是家新創公司,黃仁勳寄了封信給張忠謀,希望台積電為其生產晶片。
  • 邏輯晶片主要供處理器使用,功能比記憶體更複雜,需要最尖端的晶片設計來滿足不同客戶的需求,商品化程度較低,量產門檻較高。

英特爾將在 2021 年初宣布其晶片外包決定,而且可能不止一種方案。 經過拆分以後,三星的晶圓代工業務市場排名由原來的產業第四上升到了第二,不過,其市場佔有率與台積電仍有很大差距,若能拿到英特爾的大單,無疑會縮小這種差距。 實際上,在 2019 年,業界就傳聞英特爾在考慮找三星為其代工生產 14nm 製程的CPU。 前些年,作為巨無霸級別的IDM,三星一直覺得其晶圓代工業務水平還不夠好,視產業霸主台積電為「眼中釘」,並透過大力投資、挖角等措施,不斷完善其晶圓代工技術能力和客戶認可度。 最為重要的是,為了提升競爭效率,三星於 2017 年宣布將其晶圓代工業務獨立了出來,目標直指台積電。 當時媒體曾報導,三星這批3奈米的晶片,是供應給中國虛擬貨幣挖礦機晶片設計公司上海磐矽半導體 (PanSemi)。

三星台積電: 三星怎麼跟得上台積電?韓國分析師竟也看衰

艾司摩爾是高階晶片制程的設備製造商,該公司全球獨家生產的EUV機台,是確保晶圓切割精確的機台,因此成為半導體大廠的必爭之地,也被認為是李在鎔親自飛往荷蘭與該國政府及廠商談判的原因。 他說,目前半導體業界判斷,台積電2025年計劃生產的2奈米晶片,蘋果仍有可能是優先客戶,判斷來自蘋果和台積電正在聯合開發1奈米晶片,用於增強蘋果未來想要推廣的和蘋果汽車計劃。 2022年起,台積電、三星及英特爾(Intel)代表台灣、美國及韓國三強,在晶圓代工領域的技術領先。 根據半導體研究機構集邦諮詢(Trendforce)統計,2022年首季,台積電於全球晶圓代工領域的佔比達53.6%,位居第二的三星則達16.3%。 IBM早在2015 年就發表 7奈米製程,但一直到去年8月才有第一個商用化產品出現,相比台積電、三星還要晚,根據目前資料來看,預估台積電2奈米製程2024年發表,三星2奈米製程2025年量產,英特爾的20A製程預計2024年發表。

業界人士認為,目前晶圓代工市占率台灣台積電第1、南韓三星第2,美國英特爾連第3都排不上。 從商業價值看,Rapidus將不具競爭力,但8家日本公司合資與IBM、研究機構IMEC合作開發技術,美國扶植日本、美日聯手打造先進製程晶圓代工廠則具有國家戰略價值。 近年來,隨著其大客戶(如蘋果、海思、高通、英偉達和AMD)對尖端製造工藝和產能的需求不斷增長,台積電加強了新的巨型晶圓代工設施建設,這些設施每月可以生產超過10萬片300毫米晶圓。 每個超級工廠的建設成本約為200億美元,台積電還增加了研發預算。 這一戰略似乎取得了成效,如今台積電不僅利用其先進的製造技術把英特爾和三星甩在了身後,而且比其他半導體製造商擁有更多的尖端產能。

三星台積電: 晶片製造商接連示警 全球經濟閃黃燈

由於晶圓代工投片生產新產品到終端市場須至少半年,對於複雜的車用供應鏈,勢必超前部署才能應對後續景氣復甦需求,也能避免晶片荒重演的損失。 隨著特斯拉開發新世代新款全自動輔助駕駛晶片,因設計升級與綜合考慮量產品質與生產規模擴大,確定將轉用台積電5奈米家族(包含4奈米)製程主力供應,三星則以提供前一代舊款晶片生產與記憶體部分支援為主。 台積電控告梁孟松侵犯營業祕密的訴訟在中華民國智慧財產法院一審時敗訴,法院同意梁的辯護律師顧立雄之觀點,判決競業禁止期限已滿,不應剝奪他的工作權[27][28]。 台積電對判決結果不服上訴,二審時智慧法院合議庭認定成均館大學與三星具特殊關係,而韓國此類企業大學具某種有益於企業之不公開作用[28][6],因而判定成均館大學對三星有類似功能,加上台積電提供的相關證據,使台積電在二審獲勝。 梁孟松不服上訴,最終最高法院判決結果為:在2015年12月31日之前,梁孟松不能以任何方式繼續為三星提供服務。 該判決結果亦為台灣的法院史上第一次限制企業高階主管在競業禁止期限結束後,仍禁止至競爭對手公司任職,有媒體評論這是「歷史性的判決」[20][3][17]。

三星台積電

有幾種可能,把台積電的客戶買走,如汽車半導體業者,包括歐洲的恩智浦、意法半導體,或是英飛淩、安森美。 二是買EDA設計公司,如新思科技、益華電腦,或是安謀,台積電會比較需要警惕。 他指出,從結構性來講,韓國更希望擁有晶圓代工系統,因而投資系統半導體(System LSI)。 然而,三星想要改變很困難,包括企業結構等問題,要超越台積電很困難。 然而,在全球半導體代工市場逐漸復甦下,三星全球代工市場份額卻有所縮水。 報導指出,三星第3季的代工銷售額較第2季度增長11.%至48.1億美元(約新台幣1332億元),但該公司的市場份額從2020年的17.3%下降到17.1%。

三星台積電: 美國公司聲稱早於韓國LK-99兩年就找到室溫超導體,還拿到美國專利?但網友發現這是間區塊鏈公司

隨著地緣政治危機加溫,各國都將晶片製造視為國安議題,紛紛拉攏台積電到自己國家設廠,林本堅並不認同,認為這是「走回頭路」。 他並提出警訊,各國都想「自己來」,會更加擴大半導體人才缺乏的危機。 這篇報導似乎不擔心引發法律問題,內文稱台積電「突然」從其嚴格而耗時的生產認證週期中,擠出6個月的時間,對於這一點,「我們本就應該感到懷疑」。 但是,當TechInsights分析天璣9000並發現關鍵製程尺寸,與台積電早期N5產品完全相同時,「情況就不妙了」。 美國之所以強化與台灣的關係,主要目的是穩定半導體供應鏈,而不只是為了守住民主主義陣營。

受俄烏衝突影響,俄羅斯産化石燃料中斷,德國的電費一度暴漲到日本及美國的2~3倍。 半導體製造在製造電路等工序中要消耗大量的電,因此打亂了業務計劃。 德國計劃吸收這項投資的近一半,今後幾年內提供約200億歐元的補貼。 台積電目前正在美國亞利桑那州建設海外唯一的尖端半導體工廠,在日本熊本縣建設老一代半導體工廠。 新工廠的月産能為4萬片12英吋晶圓,比熊本工廠(5.5萬片12英吋晶圓)略少一些。

三星台積電: 三星、台積戰火燒向先進封裝 南韓巨頭傳瓜分輝達AI大單

一七年五月,三星將晶圓代工部門正式獨立出來,就是向台積電的「純晶圓代工」模式看齊,期望強化市場競爭力,至少先囊括台積電無法消化的產能,甚至挑戰台積電的王位。 此外,三星在一八年五月整合八個原有的研究機構,為晶片代工業務設立專門的研發中心,表明推動相關業務深入發展的決心。 從EUV技術晶片到NAND快閃記憶體的生產線,三星的投資與擴張都是針對5G網路、高效能運算(HPC)、人工智慧(AI)與物聯網(IoT)、自動駕駛汽車等第四次工業革命的強大需求。 平澤P2工廠擴建完成之後,三星在南韓、美國總計擁有七條晶圓代工生產線,其中六條十二吋晶圓生產線、一條是八吋晶圓生產線。 三星台積電2023 不過,台積電過去累積下來的 FinFET 架構與設計生態系統,可直接將驗證過的 IP,提供給 3 奈米客戶使用,讓客戶能快速完成設計;三星採用 GAA 架構下,客戶需要調整 IC 設計,三星也必須建立新的 GAA 生態系統。 三星電子也已積極發展先進封裝技術,2021年推出了「X-Cube」2.5D封裝技術,並於去年底成立了先進封裝團隊(AVP)。

三星台積電

聯電在2018年時已放棄對12nm製程的研發,當時還是全球第二大晶片代工廠的格羅方德也隨後宣布放棄7nm FinFET工藝的研發。 如今,縱觀全球的晶圓代工廠(Foundry)和IDM模式(Integrated Device Manufacture),實際有能力生產7nm及更小晶片製程的只有台積電、三星以及稍晚一步的英特爾(7nm已taped-in)。 台積電和三星都是全球半導體產業中的巨頭,也因此兩者經常被外界拿來做比較,有網友表示,台積電作為世界第一的晶圓廠,全世界電子業都在等台積生產晶片,今年市值更是暴增,讓他好奇,台積電是否已經超越三星帝國了?

三星台積電: 美國商務部施壓台積電:優先提供車用晶片,據傳在美還要加蓋5座晶片廠

台積電、BMW、美光(Micron Technology Inc.)代表也會參加。 為解決全球晶片短缺問題,市場謠傳台積電(2330)、英特爾(Intel Corp.)及蘋果(Apple Inc.)等科技巨擘高層,都已獲邀出席白宮舉辦的遠距會議。 因此,我的結論是,台灣電子公司大部分都從事製造業,但能夠把製造業做到像服務業的公司並不多,台積電就是其中的佼佼者,而把製造業做成服務業的背後,則是一種追求卓越的精神與心態,不管是20多年前的來賓登記簿,或現在的營業祕密註冊系統,都是如此。 也就是說,當大家都建立個別公司的營業祕密系統後,這是對每家公司競爭力的進一步提升,在產業競爭與侵權挖角的事件頻傳下,台積電率先走出在營業祕密領域的第一步,很值得大家學習參考。 這套系統除了管理營業祕密外,也同步串聯合約管理系統、人力資源系統。 串聯合約管理系統的目的,是因為許多與台積電共同參與專案的公司,都需要訂定共同合約,並定義未來合作成果歸屬於誰。

一名半導體專家指出,台積電在3奈米製程上將能夠維持對三星電子的領先優勢,但2家公司預料在2奈米製程正面交鋒,2奈米製程是以閘極全環電晶體(GAA)技術為基礎。 這名專家預測,台積電2奈米製程開始使用GAA技術時,在確保所預期的產品良率上將面臨困難。 雖然三星的副董事長兼半導體部門主管金奇南今年3月曾在股東大會上宣稱:「三星保住了大量客戶,目前也在努力縮小與台積電的差距。」但是數據會說話,今年4月29日三星公布財報,半導體部門今年1到3月的銷售額雖然成長了8%,盈利卻比去年同期減少了16%,是一年來首次出現下滑。 三星台積電2023 根據券商分析,三星沒能在這波半導體短缺潮賺上一波,問題主要出在非記憶體的業務—也就是中央處理器(CPU)與通訊晶片。

三星台積電: 三星自吹「3奈米良率很完美,台積電只有50%」?外資報告揭露誰是領導者

英特爾正逐漸失去幫助其在歷史上取得巨大成功的諸多優勢,其芯片製造工藝已經落後台積電和三星,而且相關投資也在減少。 美國的晶片製造崛起表面上的目的是,2030年以前要將沒有經濟支持就會往中國聚集的晶圓廠留在美國。 只要美國與中國彼此都希望建立自主且排他的半導體供應鏈,預期2030年以前有高額設備投資計劃的企業都會往美國投資。 韓國的晶圓代工領域,營收比例高的企業有圓益艾伯斯(Wonik IPS)、比思科(PSK)等。 由於未來半導體業者可能集中在美國進行投資,對總公司就在美國的應用材料公司(Applied Materials)、科林研發(Lam Research)等半導體設備業者而言,後續發展性看好。 預估2022年半導體業者應會對快閃記憶體增加投資,2023年進入MEC晶片需求週期的景氣繁榮時期。

三星台積電

因此,這兩家企業未來的競爭是否會進一步有豬羊變色的情況,這也將是未來大家所關心的產業話題。 事實上,自半導體製程為縮至 10 奈米以下之後,台積電與三星的競爭持續不斷,不但從各製程的推出時間,再到取得客戶的數量,甚至是每年所投入的資本支出,以及到整體的市值大小都成為互相比較的項目。 尤其,在當前的先進製程中,全球現階段唯三有能力在此領域發展的企業。 但撇開目前仍在 10 奈米製程上持續為良率努力的英特爾,而能夠提供先進製程邏輯晶片代工的企業就僅剩台積電與三星這 2 家,彼此的競爭自然免不了。 2018 年三星的晶圓代工業務營收來到 100 億美元,市占率衝上 14%,全球排名躍升至第二的位置。

三星台積電: 中國為何面臨通縮?可能像日本失落30年嗎?分析一次看

從目前市場現狀來看,主要集中在三星和台積電之間的爭奪為主,聯電、格芯放棄了先進製程的發展,奮起而追的英特爾還差的較遠,受限於國際貿易關係的限制,中芯國際的發展也正面臨舉步維艱的艱難局面。 市調研究機構 IC Insights 的研究報告指出,未來幾年先進製程市場將掀起一場激烈的競爭,來自 5G、雲端運算、大數據相關應用的帶動,未來幾年對高性能計算、低功耗的需求不斷增加,將更需要先進工藝的支持。 中芯國際表示,在 2021 年生產營運面臨巨大挑戰的情況下,公司聚焦在保障生產連續性和持續產能擴充兩大重點,重新整理供應鏈,改良採購流程、加速供應商驗證、提升生產規劃和工程管理,預估全年營收年增率在 39% 左右。 從目前終端產品需求來看,驅動 IC、非車用 MCU 等細分領域晶片短缺已緩解,但也有晶片產品持續短缺,價格繼續上漲,例如,安森美半導體、瑞薩電子、意法半導體、英飛凌等產品交期仍在拉長。 去年 11 月市場傳出聯電將啟動新一波長約漲價,漲幅約 8%~12% 不等,2022 年元月起生效。

在中美高科技領域對立日益尖銳的情況下,歐美日開始重新構建各種産品不可或缺的半導體供應鏈。 台積電此次也將響應德國政府在區域內生産的招商政策,以該方式來分散生産基地。 熟悉台積電計劃的人士表示,政府補貼將有助於抵消地區劣勢,例如運營成本較高,以及與台灣相比,在歐洲建設和運營晶片工廠所需的熟練工人相對稀缺。

美國政府對 SVG 的收購案百般阻撓也是有道理的, ASML 在收購後隨即關閉了 SVG 的 i 線和 DUV 產品線,標誌美國廠商退出主流光刻競爭。 1989 年時,尼康曾試圖收購 70 年代全球光刻機領頭羊 Perkin-Elmer ,在美國一片強烈反對的聲音下,PE公司最後把光刻產品線賣給了 SVG 。 雖然今天晶片已經成了老百姓都在關心的話題,而且人們天天討論的往往都是誰達到了幾奈米,誰停留在幾奈米,但對於一個複雜而龐大的晶片產業來說,製程並不是衡量晶片價值的唯一標準。 但是到了3nm階段,FinFET的三面柵的控製作用減弱,短通道效應再次凸顯。 直到下一世代的晶體管結構即所謂Gate-All-Around環繞式柵極技術(簡稱為GAA結構)出現,問題才得以緩解。 它可以簡單理解為溝道被柵極四面包裹,進而降低操作電壓、減少漏電,降低晶片運算功耗與操作溫度,進而繼續為摩爾定律續命。



Related Posts